Altera University Program Qsim Download

UNIVERSITY OF BRITISH COLUMBIA

DEPARTMENT OF ELECTRICAL ANDCOMPUTER ENGINEERING

1.Start the Altera Monitor Program software 2.Connect the DE-series board, power it up and connect the USB cable between the board and the host computer 3.Connect a VGA-compatible monitor to the VGA port on the DE-series board and power it up 4 Altera Corporation - University Program April 2014. The Combined Files download for the Quartus Prime Design Software includes a number of additional software components. A list of files included in each download can be viewed in the tool tip (What's Included?) to the right of the description. The Complete Download includes all available device families.

EECE259 Instructions forDownloading and Installing Altera Software

January 14, 2011

Overview

For the practical assignments, you need to install version9.1sp2 of the Altera tools:

  1. Quartus II Software
  2. Nios II Embedded Design Suite
  3. Altera University Program IP Cores
  4. Altera Monitor Program
  5. USB-Blaster Driver (IMPORTANT: Windows 7 users need version 10.0 or greater)

You must install the software in this order.

Before you begin, make sure you have about 10GBof free space for temporary storage. The finished installation requires about3.5 to 6GB (depending on whether you uncheck options below).

You can download the software from http://www.altera.com. Altera uses a downloadmanager that can resume an interrupted download. The total download is1.7GB.

Do not install the software on the lab computers(we’ll do that for you).

ALWAYS USE THE DEFAULT FOLDERS DURINGINSTALLATION !!!!

A. Quartus II Web Edition

Download and install “Quartus II Web Edition v9.1 ServicePack 2”:

https://www.altera.com/download/software/quartus-ii-we/9.1

You should install with the default options. However, tosave disk space, choose “Custom” instead of “Complete” and keep “CycloneII 62MB” but uncheck all others. After unchecking, theinstaller will say you need “2572MB” of space.

Note: you do not need to download the ModelSim software; wewon’t be using it.

B. Nios II Embedded Design Suite

Using the same link as step A, download and install “Nios IIEmbedded Design Suite”. When you run the executable, it first will ask to unzipthe files in a temporary location. To make it easy to remember this location,set it to C:Temp

Again, to save disk space, choose “Custom” instead of“Complete” and uncheck “Verilog hardware design examples” and “VHDLhardware design examples”. After unchecking, the installer will say you need“1658MB” of space.

After installation is complete, manually delete the files inC:Temp to reclaim 613MB.

C.Altera University Program IP Cores andAltera Monitor Program

Download and run the Altera University Program Design Suiteinstaller from:

University

ftp://ftp.altera.com/up/pub/Altera_Material/QII_9.1/altera_upds_setup_vhdl.exe

Installation instructions can be found in the followingtutorial:

ftp://ftp.altera.com/up/pub/Altera_Material/9.1/Tutorials/Altera_Monitor_Program_Tutorial.pdf

You can also read the Nios II tutorial:

ftp://ftp.altera.com/up/pub/Tutorials/DE2/Computer_Organization/tut_nios2_introduction.pdf

Sections 6 and 7 of this tutorial provide a good overview ofNios II instructions and assembler directives. An example program is explainedin section 8. You can also use this program to play around with the MonitorProgram. However, the program in section 8 might generate an assembler error(there is a problem with the last “stw” instruction). You can use the followingfile instead:

http://courses.ece.ubc.ca/259/homework/files/nios_example.s

E. USB-Blaster Cable Device Driver

Windows 7 users, follow the link below to download a newerUSB-Blaster driver (the version included in 9.1sp2 will not work with Windows7)

http://www.altera.com/support/kdb/solutions/rd06212010_676.html

Using the USB cable, plug your DE1 board directly into yourcomputer and turn it on (RED power switch). You do not need to use the ACadapter. (If you plug into a USB hub, the USB-Blaster may not be recognized.)Install the appropriate USB-Blaster Cable device driver using theseinstructions:

Altera University Program Qsim Download For Windows 10

http://www.altera.com/support/software/drivers/dri-index.html

Altera University Program Qsim Download For Mac

The instructions refer to a folder “<Path to Quartus II installation>”on your computer. If you used the defaults during installation, this will be C:altera91sp2